とある科学の備忘録

とある科学の備忘録

CやPythonのプログラミング、Arduino等を使った電子工作をメインに書いています。また、木製CNCやドローンの自作製作記も更新中です。たまに機械学習とかもやってます。

Verilog HDL

【HDL】モジュールの記述方法1

1.moduleとは 2.モジュールの構造 ポート宣言 構文(1ビット) 配列を使う レジスタ宣言 ネット宣言 パラメータ宣言 参考文献 1.moduleとは Verilog HDLでは、プログラムによって論理回路を記述することができます。 shizenkarasuzon.hatenablog.com そのと…

【HDL】ハードウェア記述言語とは?

VHLについて VHLの種類 VHDLとVerilog HDLの違い VHDLの記述例 Verilog-HDLの記述例 参考文献 VHLについて VHLとは、「Hardware Description Language」、つまり「ハードウェア記述言語」のことです。 ハードウェアの動作仕様を記述するのに使います。通常、…

Visual Studio で Verlilog HDL がしたい!

最近、Verlilog HDLを使用するプロジェクトに参加しています。そこで、「Verlilog HDLをVisual Studioで使えないかな...?」と思っていたら、案の定プラグインがあり、導入したので、そのときの様子を紹介します。 0.動作環境について 1.プラグインのインス…